Efficient Leakage Reduction Approach for Low Power VLSI Design Using Modified Feedback Sleeper Stack Technique

International Journal of Electronics and Communication Engineering
© 2024 by SSRG - IJECE Journal
Volume 11 Issue 3
Year of Publication : 2024
Authors : Anitha P. Bose, N. Santhi
pdf
How to Cite?

Anitha P. Bose, N. Santhi, "Efficient Leakage Reduction Approach for Low Power VLSI Design Using Modified Feedback Sleeper Stack Technique," SSRG International Journal of Electronics and Communication Engineering, vol. 11,  no. 3, pp. 1-11, 2024. Crossref, https://doi.org/10.14445/23488549/IJECE-V11I3P101

Abstract:

In the quest for ever more power-efficient VLSI circuits, mitigating leakage power has become a paramount concern. As the demand for energy-efficient electronic devices continues to surge, low-power VLSI design has become prominent in modern semiconductor technology. One of the most significant challenges in achieving low power consumption is mitigating leakage currents, which have become a substantial contributor to power dissipation in nanoscale CMOS circuits. This paper introduces a novel approach for leakage reduction in VLSI designs. The technique builds upon the principles of traditional stackbased sleep transistors to suppress leakage currents in standby mode but introduces innovative modifications for enhanced efficiency. By strategically combining feedback mechanisms with sleep transistors, this method achieves significant leakage reduction while maintaining excellent area and performance characteristics. The suggested method is evaluated on both the NAND-3 circuit and the C17 benchmark circuit for performance comparison. The utilisation of the suggested power reduction methods involves implementing each circuit with low-Vth, high-Vth, and dual-Vth techniques. The assessment of logic circuits primarily focuses on two critical metrics: leakage power and power delay product. The outcomes of the simulations have conclusively demonstrated that the adoption of high threshold voltage transistors emerges as a highly effective strategy for mitigating static power consumption while incurring minimal delay degradation. The proposed technique holds great promise in the pursuit of ultra-low power consumption, making it a valuable addition to the toolkit of VLSI designers in an era where energy efficiency is paramount.

Keywords:

CMOS, Deep submicron technology, Leakage reduction, Nanoscale CMOS, Power dissipation, Semiconductor technology.

References:

[1] M. Borah, R.M. Owens, and M.J. Irwin, “Transistor Sizing for Low Power CMOS Circuits,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 15, no. 6, pp. 665-671, 1996.
[CrossRef] [Google Scholar] [Publisher Link]
[2] V. Konstantakos et al., “Measurement of Power Consumption in Digital Systems,” IEEE Transactions on Instrumentation and Measurement, vol. 55, no. 5, pp. 1662-1670, 2006.
[CrossRef] [Google Scholar] [Publisher Link]
[3] John Y. Chen, “CMOS-The Emerging VLSI Technology,” IEEE Circuits and Devices Magazine, vol. 2, no. 2, pp. 16-31, 1986.
[CrossRef] [Google Scholar] [Publisher Link]
[4] D.A. Grier, “The Innovation Curve [Moore’s Law in Semiconductor Industry],” Computer, vol. 39, no. 2, pp. 8-10, 2006.
[CrossRef] [Google Scholar] [Publisher Link]
[5] Semiconductor Industry Association, 2005 International Technology Roadmap for Semiconductors (ITRS), 2005. [Online]. Available: https://www.semiconductors.org/resources/2005-international-technology-roadmap-for-semiconductors-itrs/
[6] N.S. Kim et al., “Leakage Current: Moore’s Law Meets Static Power,” Computer, vol. 36, no. 12, pp. 68-75, 2003.
[CrossRef] [Google Scholar] [Publisher Link]
[7] Clifton Fonstad, “MOSFETs in the Sub-Threshold Region (i.e. a Bit below VT),” Microelectronic Devices and Circuits, vol. 6, pp. 1-17, 2009.
[Google Scholar] [Publisher Link]
[8] Pushpa Saini, and Rajesh Mehra, “Leakage Power Reduction in CMOS VLSI Circuits,” International Journal of Computer Applications, vol. 55, no. 8, pp. 42-48, 2012.
[CrossRef] [Google Scholar] [Publisher Link]
[9] M. Geetha Priya, K. Baskaran, and D. Krishnaveni, “Leakage Power Reduction Techniques in Deep Submicron Technologies for VLSI Applications,” Procedia Engineering, vol. 30, pp. 1163-1170, 2012.
[CrossRef] [Google Scholar] [Publisher Link]
[10] K. Roy, S. Mukhopadhyay, and H. Mahmoodi-Meimand, “Leakage Current Mechanisms and Leakage Reduction Techniques in Deep-Submicrometer CMOS Circuits,” Proceedings of the IEEE, vol. 91, no. 2, pp. 305-327, 2003.
[CrossRef] [Google Scholar] [Publisher Link]
[11] T. Santosh Kumar, and Suman Lata Tripathi, “Leakage Reduction in 18 nm FinFET Based 7T SRAM Cell Using Self-Controllable Voltage Level Technique,” Wireless Personal Communications, vol. 116, no. 3, pp. 1837-1847, 2021.
[CrossRef] [Google Scholar] [Publisher Link]
[12] R. Krishna, and Punithavathi Duraiswamy, “Low Leakage 10T SRAM Cell with Improved Data Stability in Deep Sub-Micron Technologies,” Analog Integrated Circuits and Signal Processing, vol. 109, no. 1, pp. 153-163, 2021.
[CrossRef] [Google Scholar] [Publisher Link]
[13] Neetika Yadav, Neeta Pandey, and Deva Nand, “Leakage Reduction in Dual Mode Logic through Gated Leakage Transistors,” Microprocessors and Microsystems, vol. 84, 2021.
[CrossRef] [Google Scholar] [Publisher Link]
[14] Ananth Kumar Tamilarasan, Darwin Sundarapandi Edward, and Arun Samuel Thankamony Sarasam, “KLECTOR: Design of Low Power Static Random-Access Memory Architecture with Reduced Leakage Current,” Research Square, 2021.
[CrossRef] [Google Scholar] [Publisher Link]
[15] Cheeli Ashok Kumar, B.K. Madhavi, and K. Lal Kishore, “Enhanced Clock Gating Technique for Power Optimization in SRAM and Sequential Circuit,” Journal of Automation Mobile Robotics and Intelligent Systems, vol. 15, no. 2, pp. 32-38, 2022.
[CrossRef] [Google Scholar] [Publisher Link]
[16] A. Yasodai, and A.V. Ramprasad, “Leakage Power Reduction in High Speed Domino Logic Circuits in Deep Submicron Technologies for VLSI Applications,” International Journal of New Innovations in Engineering and Technology, vol. 14, no. 1, pp. 67-74, 2020.
[Google Scholar] [Publisher Link]
[17] Kothamasu Jyothi, “9T SRAM Cell with MT-SVL Technique for Leakage Power Reduction,” Information Technology in Industry, vol. 9, no. 2, pp. 1139-1143, 2021.
[CrossRef] [Google Scholar] [Publisher Link]
[18] T. Thamaraimanalan, and P. Sampath, “Leakage Power Reduction in Deep Submicron VLSI Circuits Using Delay-Based Power Gating,” National Academy Science Letters, vol. 43, pp. 229-232, 2020.
[CrossRef] [Google Scholar] [Publisher Link]
[19] A. Karthikeyan et al., “A Leakage Reduction Charge Pump Based Domino Logic for Low Power VLSI Circuits,” International Journal of Intelligent Systems and Applications in Engineering, vol. 10, no. 4, pp. 211-215, 2022.
[Google Scholar] [Publisher Link]
[20] T. Santosh Kumar, and Suman Lata Tripathi, “Comprehensive Analysis of 7T SRAM Cell Architectures with 18nm FinFET for Low Power Bio-Medical Applications,” Silicon, vol. 14, no. 10, pp. 5213-5224, 2022.
[CrossRef] [Google Scholar] [Publisher Link]
[21] Sufia Banu, and Shweta Gupta, “Design and Leakage Power Optimization of 6T Static Random-Access Memory Cell Using Cadence Virtuoso,” International Journal of Electrical and Electronics Research (IJEER), vol. 10, no. 2, pp. 341-346, 2022.
[CrossRef] [Google Scholar] [Publisher Link]
[22] Kajal, and Vijay Kumar Sharma, “A Novel Low Power Technique for FinFET Domino OR Logic,” Journal of Circuits, Systems and Computers, vol. 30, no. 7, 2021.
[CrossRef] [Google Scholar] [Publisher Link]
[23] Fahim Abrar et al., “A New Dynamic Logic Circuit Design with Low Leakage Power,” 2022 IEEE International Conference on Electronics, Computing and Communication Technologies (CONECCT), Bangalore, India, pp. 1-4, 2022.
[CrossRef] [Google Scholar] [Publisher Link]
[24] Md Maharaj Kabir et al., “A High-Speed Dynamic Logic Circuit Design with Low Propagation Delay and Leakage Current for Wide Fan-In Gates,” 2022 International Conference on Innovations in Science, Engineering and Technology (ICISET), Chittagong, Bangladesh, pp. 174-178, 2022.
[CrossRef] [Google Scholar] [Publisher Link]
[25] Sandeep Garg, and Tarun K. Gupta, “SCDNDTDL: A Technique for Designing Low-Power Domino Circuits in FinFET Technology,” Journal of Computational Electronics, vol. 19, pp. 1249-1267, 2020.
[CrossRef] [Google Scholar] [Publisher Link]
[26] Neil H.E. Weste, and Kamran Eshraghian, Principles of CMOS VLSI Design: A Systems Perspective, Addison-Wesley, USA, 1985.
[Google Scholar] [Publisher Link]