Design of SRAM based BTI Sensor for Improved Cell Stability

International Journal of Electronics and Communication Engineering
© 2018 by SSRG - IJECE Journal
Volume 5 Issue 8
Year of Publication : 2018
Authors : Y.Alekhya, T.Sindhusha, S.S.Mahalakshmi, N.Swathi and S.V.Sharvani
pdf
How to Cite?

Y.Alekhya, T.Sindhusha, S.S.Mahalakshmi, N.Swathi and S.V.Sharvani, "Design of SRAM based BTI Sensor for Improved Cell Stability," SSRG International Journal of Electronics and Communication Engineering, vol. 5,  no. 8, pp. 5-13, 2018. Crossref, https://doi.org/10.14445/23488549/IJECE-V5I8P102

Abstract:

The semiconductor industry has reached its focal point with exceptional hike and success in integrated circuit (IC) manufacturing. Due to technology scaling a major reliability issue exists which is time dependent degradation-bias temperature instability (BTI). The parametric variations-PVT (Power, supply Voltage, Temperature) lead to degradation of System-on-chip (SOC’s) performance thus increasing the delay over long periods. An aging sensor is thus proposed, for CMOS memories in particular for SRAM cells, to detect the delay faults by active monitoring. The performance of sensor is achieved by tracking the bit line transitions and the output is set high for slow transitions i.e., if transitions didn’t occur in expected time frame. The sensors’ operation is demonstrated in MENTOR GRAPHICS simulations using 35nm technology.

Keywords:

 Aging sensor, CMOS memories, slow transitions.

 

References:

[1] A. E. Islam, H. Kufluoglu, D. Varghee, S. Mahapatra, and M. A. Alam, ―Recent issues in negative bias temperature instability: Initial degradation, field dependence of interface trap generation, hole trapping effects, and relaxation,‖ IEEE Trans. Electron Devices, vol. 54, no. 9, pp. 2143-2154,2007. 
[2] Ramya.P, Shankavi.K, Shilpa unki, Sushmitha Nayak M,"Design and Comparison of various Low power n-T SRAM cells",International Journal of Electronics and Communication Engineering (SSRG-IJECE),Volume-4 Issue-5 2017. 
[3] Schroder, Dieter K ―Negative Bias Temperature Instability: What do we understand?‖ Microelectronics Reliability 47.6(2007):841-852. 
[4] Garima Upadhyay, Amit Singh Rajput, Nikhil Saxena,"A drowsy cache method based 6T SRAM cell with different performance parameter at 32 nm Technology"International Journal of Engineering Trends and Technology (IJETT),Volume-42 Number-8 2016. 
[5] S. Bhargav Kumar, S.Jagadeesh, Dr.M.Ashok ,"LFSR Based Watermark and Address Generator for Digital Image Watermarking SRAM",International Journal of Computer & Organization Trends (IJCOT),Volume-2 Issue-3 2012. 
[6] K. Kang, S. Gangwal, S. P. Park, and K. Roy, ―NBTI Induced performance degradation in logic and memory circuits: How effectively can we approach a reliability solution?‖ Proc. Asia South Pacific Des. Autom. Conf. ASP-DAC, pp. 726–731, 2008. 
[7] V.Vinothini, S.Yamuna," Reduced Test Pattern Generation Using Reconfigurable Compression Techniques for Testing Soc",International Journal of Electronics and Communication Engineering (SSRG - IJECE),Volume 2 Issue 3 - 2015. 
[8] A. Ceratti, T. Copetti, L. Bolzani, and F. Vargas, ―On-chip aging sensor to monitor NBTI effect in nano-scale SRAM,‖ Proc. 2012 IEEE 15th Int. Symp. Des. Diagnostics Electron. Circuits Syst. DDECS 2012, pp. 354–359, 2012.
[9] W. Wang, S. Yang, S. Bhardwaj, R. Vattikonda, S. Vrudhula, F. Liu, and Y. Cao, ―The impact of NBTI on the performance of combinational and sequential circuits,‖ Proc. - Des. Autom. Conf., pp. 364–369, 2007. 
[10] B. Liu and C.-H. Chen, ―Testing, diagnosis and repair methods for NBTI-induced SRAM faults,‖ in Proc. IEEE Int. Conf. IC Des., Technol., May 2014, pp. 1–4. 
[11] Z. Qi, J. Wang, A. Cabe, S. Wooters, T. Blalock, B. Calhoun, and M. Stan, ―SRAM-based NBTI/PBTI sensor system design,‖ in Proc. 47th Des Autom. Conf., 2010, pp. 849–852. 
[12] P. Pouyan, E. Amat, and A. Rubio, ―Adaptive proactive reconfiguration: A technique for process-variability-and aging-aware SRAM cache design,‖ IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 23, no. 9, pp. 1951–1955, Sep. 2015. 
[13] S. Drapatz, G. Georgakos, and D. Schmitt-Landsiedel, ―Impact of negative and positive bias temperature stress on 6T-SRAM cells,‖ Adv. Radio Sci., vol. 7, pp. 191–196, 2009. 
[14] E. Grossar, M. Stucchi, K. Maex, and W. Dehaene, ―Read stability and write-ability analysis of SRAM cells for nanometer technologies,‖ IEEE J. Solid-State Circuits, vol. 41, no. 11, pp. 2577–2588, 2006. 
[15] ―Predictive Technology Model.‖ [Online]. Available: http://ptm.asu.edu/.