Physical Design Implementation of Openmsp430 Using Different Approaches

International Journal of Electronics and Communication Engineering
© 2020 by SSRG - IJECE Journal
Volume 7 Issue 5
Year of Publication : 2020
Authors : Nagella Jyothsna, Dr.T.Lalith kumar
pdf
How to Cite?

Nagella Jyothsna, Dr.T.Lalith kumar, "Physical Design Implementation of Openmsp430 Using Different Approaches," SSRG International Journal of Electronics and Communication Engineering, vol. 7,  no. 5, pp. 56-58, 2020. Crossref, https://doi.org/10.14445/23488549/IJECE-V7I5P109

Abstract:

Due to rapid growth in electronics and communication engineering, VLSI plays an essential role in the mechanization of distinct steps about the design and forgery of the VLSI chips. In this paper, we appraised the openMSP430 module in which lower technologies such as 45nm, 180nm, 350nm, and 500nm are investigated from RTL to GDSII. The design's core area is being considered up to 0.7 with high accomplishment, with the concern of clock frequency 404.85 MHZ with a significant reduction of 3440736 μm2 die area. Primarily the design is being optimized for 500nm net-list, later it has been carried over lower technologies (350nm, 180nm, 45nm) with the enhancement of PPA (performance, power, and area) are improved as scheme towards lower strategies by open source tools such as the proton, open STA and Q-flow

Keywords:

Synthesis; floor-planning; placement; physical design, routing

References:

[1] Peter Marveled, "Embedded System Design," science publishers, 2007
[2] Raj kamal "Embedded system architecture, programming, and design," TMH publications, second edition 2008
[3] Ahmad, I., Ho, Y.K., Mali's, B.Y., 2006. "Fabrication and Characterization of a 0.14 μm CMOS Device using ATHENA and ATLAS Simulators". Semiconductor Physics, Quantum Electronics & Optoelectronics, Volume 9(2), pp. 40–44.
[4] Arsenal, S., 2013. "Sun Tracking System with Microcontroller 8051". International Journal of Scientific and Engineering Research, Volume 4(6), pp. 2998–3001 R. Nicole, "Title of paper with the only first word capitalized," J. Name Stand. Abbrev. In press
[5] Chang, K.L., Chang, J.S., Gwen, B.H., Chong, K.S., 2013. "Synchronous-logic and Asynchronous-logic 8051 Microcontroller Cores for Realizing the Internet of Things: A Comparative Study on Dynamic Voltage Scaling and Variation Effects". IEEE Journal on Emerging and Selected Topics in Circuits and Systems, Volume 3(1), pp. 23–34
[6] Iozzi, F., Sayonara, S., Morella, A.J., Fanucci, L. 2005. 8051 CPU Core Optimization for Low Power at Register Transfer Level. 2005 Ph.D. Research in Microelectronics and Electronics, July, Volume 2, pp. 178–181.